Publications


[MICRO] Mohammad Alian, Siddharth Agarwal, Jongmin Shin, Neel Patel, Yifan Yuan, Daehoon Kim, Ren Wang, and Nam Sung Kim.

IEEE/ACM International Symposium on Microarchitecture (MICRO), 2022

[PACT] Daehyeon Baek, Soojin Hwang, Taekyung Heo, Daehoon Kim, and Jaehyuk Huh.

International Conference on Parallel Architectures and Compilation Techniques (PACT), 2021

[MICRO] Seunghak Lee, Ki-Dong Kang, Hwanjun Lee, Hyungwon Park, Younghoon Son, Nam Sung Kim, and Daehoon Kim.

IEEE/ACM International Symposium on Microarchitecture (MICRO), 2021

[MICRO] Ki-Dong Kang, Gyeongseo Park, Hyosang Kim, Mohammad Alian, Nam Sung Kim, and Daehoon Kim.

IEEE/ACM International Symposium on Microarchitecture (MICRO), 2021

[CAL] Yongjoo Jang, Sejin Kim, Daehoon Kim, Sungjin Lee, and Jaeha Kung.

IEEE Computer Architecture Letters (CAL), 2021

[ICCD] Ki-Dong Kang, Hyungwon Park [co-first author], Gyeongseo Park, and Daehoon Kim.

IEEE International Conference on Computer Design (ICCD), 2020

[CAL] Mohammad Alian, Jongmin Shin [co-first author], Ki-Dong Kang, Ren Wang, Alexandros Daglis, Daehoon Kim, and Nam Sung Kim.

IEEE Computer Architecture Letters (CAL), 2021

[DAC-WIP] Minwoo Jang, Seungkyu Lee, Jaeha Kung, and Daehoon Kim.

IEEE/ACM Design Automation Conference WIP (DAC-WIP), 2020

[CAL] Seunghak Lee, Nam Sung Kim, and Daehoon Kim.

IEEE Computer Architecture Letters (CAL), 2019

[MICRO] Mohammad Alian, Seung Won Min, Hadi Asgharimoghaddam, Ashutosh Dhar, Dong Kai Wang, Thomas Roewer, Adam McPadden, Oliver O'Halloran, Deming Chen, Jinjun Xiong, Daehoon Kim, Wen-mei Hwu, and Nam Sung Kim.

IEEE/ACM International Symposium on Microarchitecture (MICRO), 2018

[SoCC] Ki-Dong Kang, Mohammad Alian, Daehoon Kim, Jaehyuk Huh, and Nam Sung Kim.

ACM Symposium on Cloud Computing (SoCC), 2018

[SoCC-poster] Daehoon Kim, Mohammad Alian, Jaehyuk Huh, and Nam Sung Kim.

ACM Symposium on Cloud Computing (SoCC-poster), 2017

[ISPASS] Mohammad Alian, Umur Darbaz, Gabor Dozsa, Stephan Diestelhorst, Daehoon Kim, and Nam Sung Kim.

IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2017

[HPCA] Mohammad Alian, Ahmed H. M. O. Abulila, Lokesh Jindal, Daehoon Kim, and Nam Sung Kim.

IEEE International Symposium on High Performance Computer Architecture (HPCA), 2017

[TPDS] Daehoon Kim, Chang Hyun Park, Hwanju Kim, and Jaehyuk Huh.

IEEE Transactions on Parallel and Distributed Systems (TPDS), 2016

[CAL] Mohammad Alian, Daehoon Kim, and Nam Sung Kim.

IEEE Computer Architecture Letters (CAL), 2016

[MICRO] Daehoon Kim, Hwanju Kim, Nam Sung Kim, and Jaehyuk Huh.

IEEE/ACM International Symposium on Microarchitecture (MICRO), 2015

[CAL] Daehoon Kim, Hwanju Kim, and Jaehyuk Huh.

IEEE Computer Architecture Letters (CAL), 2014

[TC] Jeongseob Ahn, Daehoon Kim, Jaehong Kim, and Jaehyuk Huh.

IEEE Transaction on Computers (TC), 2012

[MICRO] Daehoon Kim, Hwanju Kim, and Jaehyuk Huh.

IEEE/ACM International Symposium on Microarchitecture (MICRO), 2010

[PACT] Daehoon Kim, Jeongseob Ahn, Jaehong Kim, and Jaehyuk Huh.

International Conference on Parallel Architectures and Compilation Techniques (PACT), 2010